包括 SDM/WDM 路由器的 32 通道 Benes 交换网络分析

包括 SDM/WDM 路由器的 32 通道 Benes 交换网络分析

一、Analysis of a 32-Channal Benes Switching Network Including an SDM/WDM Router(论文文献综述)

宋婷婷[1](2021)在《针对片上光互连网络通信可靠性的研究与优化》文中研究说明在当今信息时代应用需求爆炸式增长的驱动下,实现高可靠性和高计算性能的超级信息处理系统是片上系统发展的必然趋势。随着互补金属氧化物半导体(Complementary metal oxide semiconductor,CMOS)工艺技术的长足改进,单芯片上集成成百上千个处理核的多核处理器系统已经实现。在片上多核系统中,由于多任务的并行处理及处理核间海量数据的频繁交换,迫切需要一种高效的通信架构来实现系统的高性能信息处理。得益于与CMOS兼容的硅光子技术的迅猛发展,片上光互连网络(Optical networks-on-chip,ONo Cs)有效解决了传统电互连所产生的高时延、高损耗、带宽限制和通信效率低等问题,其具备强大的并行计算能力、优秀的资源利用率和良好的可拓展性,在超高速光通信、超级计算机系统、计算机体系结构设计等领域具有广阔的应用前景。此外,将波分复用技术应用于片上光互连网络能够满足超大容量和超高速率对更高通信带宽的需求。然而,现阶段片上多核光互连网络的通信可靠性无法得到有效保证。一方面,由于硅基光子器件本身的材料属性和当前尚不完美的制造工艺,光载波信号在传输过程中不可避免地会遭受固有物理损耗和串扰噪声的影响,从而导致网络中多跳通信光信噪比的降低及误码率的增大。另一方面,硅基光开关元件对温度波动和工艺偏差非常敏感,温度及制造工艺的轻微变化都会导致光开关的谐振波长发生漂移,使得光通信链路的物理性能变差,对系统级的通信性能和可靠性造成负面影响。尤其对于采用波分复用技术的片上光通信系统,由上述问题导致的数据通信可靠性降低现象更为严重。因此,本文针对如何提升片上光互连网络的通信可靠性这一问题,开展了相关研究,并取得了如下研究成果:1.针对多波长片上光互连网络中的串扰特性,将角度优化(60°/120°波导交叉)方法应用于支持波分复用技术的光路由器层和光网络层,以提升光通信链路的物理性能,降低光网络中信号传输的误码率。首先,依次构建了完善的光器件级、光路由器级和光网络级的插入损耗和串扰特性分析模型;其次,基于角度优化方法和理论分析模型设计了优化的Crossbar和Crux光路由器的优化结构,对比分析该方法对光路由器的串扰特性及各端口光信噪比性能所产生的积极影响;最后,将所设计的角度优化光路由器应用于光网络层,基于Mesh和Torus拓扑结构的片上光网络进行了光网络层的数值仿真分析。仿真结果表明:该优化方法在本文所用参数下可将光网络层的平均光信噪比提升约1.5 d B,其能够有效提升多波长片上光路由器和光网络的光信噪比和误码率性能,实现光网络中更低的误码率传输和数据通信的可靠性提升。2.将信道编码技术应用于片上光互连网络,结合群计数编码方法具有强大检错能力的优势,设计了全电、全光和光电混合的群计数编码器,其中全光和光电混合的群计数编码器基于硅基微环谐振器设计实现。基于仿真软件Interconnect验证了所设计的光电群计数编码器的正确性和可行性,并对其检错效率、能耗和面积开销进行了详细的分析和评估。分析结果表明:该群计数编码方法的错误检测效率可以达到88.2%,相比于奇偶校验方案的检错效率高出36.6%;全电、全光和光电混合的群计数编码器在最坏情况下能耗分别为0.260 f J/bit、56.000 f J/bit和30.386f J/bit;另外,该光电群计数编码器的占芯比例非常小,当Mesh和Torus网络规模增大至10×10时,其面积开销在整个芯片尺寸中的占比小于0.15%。3.设计了一种新型的适用于片上光互连网络的高可靠性通信系统,该系统具有错误检测和数据重传功能,可以有效保证目的节点所接收数据的正确性。在此基础上,为了减少串扰对通信可靠性的影响,对重传机制进行了优化,进一步提升数据重传的可靠性。基于Opti System仿真系统直观呈现了光群计数编码方法对于实现高可靠光通信系统的可行性和有效性,验证了所提出的光通信机制可以有效提高片上光互连网络中数据通信的可靠性。此外,选择常用的奇偶校验方案作为对照,基于不同的通信机制全面地评估了该可靠性片上光通信系统所付出的功耗和时延代价。分析结果表明:由于增加了激光源、编码及校验电路,采用群计数方法实现4比特数据的可靠传输相较于不含错误检测机制直接传输需要额外消耗26.4%的功率,相比于奇校验方案需要额外消耗16.3%的功率。基于奇偶校验的重传机制相比于只采用奇偶校验但无重传约需额外35%的零负载端到端时延开销,采用群计数方法重传机制的零负载端到端时延比只采用群计数方法但无重传约多出39%。本文所提出的可靠性提升技术在当前片上集成中切实可行,可以有效提升片上多核光通信网络系统数据通信的可靠性。在数字光通信领域和大规模片上光互连网络中具有潜在的应用价值,为芯片上可靠性光通信系统的实现提供了理论基础和技术储备。

朱庆明[2](2020)在《基于波长控制的谐振型硅基光开关及光滤波器研究》文中研究指明自19世纪80年代硅基光子学诞生以来,硅基光子集成器件得到了广泛且深入的研究。凭借小体积、大带宽、低功耗及高集成度等突出优势,硅基光子集成器件在电信网络、数据中心互连以及信号处理等通信领域具备重要的应用价值,在学术界和工业界中都掀起了广泛的研究热潮。在众多硅基光子集成器件中,硅基谐振器件由于具备波长选择性,并在体积和功耗方面有进一步优势,成为构建光滤波器、光开关、光源、光调制器、光探测器等核心通信器件的理想方案。然而,谐振器件的波长选择特性与硅材料的高热光系数共同作用使得硅基谐振器件的工作波长对工艺误差和温度变化显现出高敏感性,而工作波长的漂移会导致器件的插损、串扰、消光比等关键技术指标的显着劣化。目前,多数关于硅基谐振器件的研究局限于优化器件自身的光学性能,而较少考虑该器件投入实际应用时的波长控制方案。本文研究了基于波长控制的谐振型硅基光开关及光滤波器:首先分析了硅基微环谐振器的基本结构和波长控制原理;在此基础上提出并实验演示了多种基于新型波长控制方案的谐振型硅基光开关及滤波器。本文的主要研究成果概括如下:1.基于鞍点锁定法的双环结构硅基光开关大规模集成光开关是实现数据中心光互连的核心器件。光开关通常由2×2交换单元构成,每个交换单元可以有两路输入光。为实现谐振型硅基光开关的波长控制,我们提出一种新型的鞍点锁定法,并实验演示了四种基于该控制方法的硅基光开关:1)基于鞍点锁定法的双环结构4×4硅基热光开关:首次研制了基于Benes架构的双环结构4×4硅基热光开关,并首次提出鞍点锁定法以实现2×2双环光开关以及4×4光开关的波长控制。通过设计双环器件的结构参数以及光监控端口的位置,可实现对于任意有光输入状态,监控到的光功率随两环的波长偏移量呈马鞍型分布,且鞍点处两环的波长偏移量均为0,从而可通过锁定鞍点来实现该开关器件的波长控制。实验中演示了0.3个自由频谱宽度(FSR)范围内的波长控制,实现波长控制后光开关的串扰≤-13.6 d B,工作带宽≥46 GHz。2)基于鞍点锁定法的双环结构2×2硅基电光开关:本工作实现了双环结构2×2硅基电光开关,并基于已有的鞍点锁定法提出其改进方法,以显着减轻双环之间的热串扰对波长控制过程的影响,从而能够首次实现双环结构光开关在整个FSR范围的波长控制,并在波长控制实现后演示了高速光交换。3)基于鞍点锁定法的双环结构4×4硅基电光开关:本工作实现了首个基于Benes架构的双环结构4×4硅基电光开关,并将已提出的用于2×2电光开关的改进鞍点锁定法扩展到4×4电光开关。实验演示了该开关在2 nm范围内的波长控制及高速光交换。4)基于鞍点锁定法的双环结构16×16硅基电光开关:本工作实现了首个基于Benes架构的双环结构16×16硅基电光开关,并研制了相应的多通道波长控制子系统。2.基于神经网络控制的硅基微环光开关对于基于谐振结构的大规模硅基集成光开关芯片,开关芯片整体的配置时间主要取决于每个谐振开关单元实现波长对准所需要的控制时间,因而快速的波长控制方案可以降低光开关整体的配置时间,对于构建灵活可重构的光网络有重要意义。围绕提高波长控制速度这一目标,本部分提出一种新颖的神经网络控制法,并演示了两种基于神经网络控制的硅基微环光开关:1)基于一维神经网络控制的单环结构1×2硅基电光开关:本工作首次提出并实验演示了基于神经网络的谐振器件波长控制方案,实现了国际上已报导的最快微环控制速度。基于神经网络的学习能力,通过学习已有经验的规律,神经网络可以根据器件当前的工作状态快速预测出达到最佳工作状态(即无波长漂移)所需要的条件,进而实现快速波长控制。在实验中,对于一个FSR范围内的任意输入光波长,微环谐振波长可在290μs时间内被对准到输入波长,从而实现波长控制,并在此基础上演示了高速光交换。2)基于二维神经网络控制的双环结构1×2硅基电光开关:本工作首次将我们已提出的神经网络控制法从一维实现扩展到多维实现,从而该方法可以用于控制更复杂结构的谐振器件,如级联多环。多维神经网络控制法不仅可以显着提升控制速度,亦可消除谐振单元器件之间的热串扰所引入的额外波长漂移。我们设计了二维神经网络控制算法,并仿真验证了该算法可以实现双环结构硅基光开关的有效波长控制。在一个FSR范围内,该算法对两微环实现波长对准所需热调功率的平均预测误差小于1 m W,对应的平均波长锁定误差将小于0.05 nm。3.基于自零差锁定法的硅基微环滤波器光滤波器是构建波分复用(WDM)系统的关键器件,在实际光纤传输系统及光网络中,影响链路功率的因素非常多,因而光滤波器的输入功率难以预测。为实现对光强变化不敏感的光滤波器,我们提出并实验演示了基于自零差锁定法的硅基微环滤波器。在本工作中,通过一种片上自零差探测结构和相应的控制系统,微环滤波器的工作波长可以被自动锁定到输入光波长,且不受输入光功率的影响。在实验演示中,该滤波器可在8.7 d B的输入光功率波动条件下实现波长控制,波长控制范围可覆盖整个FSR,控制精度达到0.015 nm。

谭艳霞[3](2019)在《“IP+光”协同架构下的资源优化研究》文中认为随着4K视频、VR、物联网等新兴业务的快速发展,骨干网流量快速增长。骨干网采用层叠模式的“IP+光”协同架构服务于快速增长的用户需求。在层叠模式下,IP层采用双平面层次化组网架构以汇聚带宽,光层采用扁平化架构以解决大容量,长距离传送问题。在“IP+光”协同网络架构中,针对业务请求一般存在两种不同处理方式:光层直通和IP-光协同传输。“IP+光”协同架构下两种业务传输方式的适应性阈值及其资源优化是一项关键研究课题。本论文以“IP+光”协同架构下两种不同的业务处理方式为主线,从架构适应性分析和资源分配机制两个角度深入展开分析。重点研究“IP+光”协同网络架构下两种业务处理方式的适应性阈值问题、弹性光网络中的路由与频谱资源分配问题以及多层网络中的资源联合调度问题。并在仿真平台上进行了仿真验证和性能分析。具体来说,本论文的主要研究内容和创新点包括以下几个方面:1.提出了一种“IP+光”协同架构下IP交换和光交换的带宽阈值适应性算法。针对“IP+光”协同架构下两种传输方式的合理分工范围问题,论文在新型“IP+光”协同架构下,以OTN设备为传输层基础设施,建立了IP交换和OTN交换在不同业务参数和网络参数条件下的成本模型,并展开了基于硬件成本和光端口成本的带宽阈值算法研究。通过大量的仿真实验,在业务请求数量改变时,比较了IP交换和OTN交换在不同的交换粒度和端口速率下基于硬件成本的带宽阈值分界点。同时,通过计算不同业务请求数量和交换粒度下的最小硬件成本及其对应的端口板卡配置情况,对基于硬件成本的带宽阈值分界点结果进行验证分析。仿真结果表明,在交换粒度为1.25Gb/s时,当业务请求的带宽均值超过280Mbps,采用OTN交换的硬件成本比采用IP交换的硬件成本更低。进一步地,针对采用光层直通时引起的光缆数量和业务跳数增加的问题,本研究对IP交换和OTN交换在不同的交换粒度和端口速率下基于光端口成本的带宽阈值分界点及业务转发时延进行了仿真验证分析。2.提出了一种基于网络能耗的自适应路由与频谱分配算法。在光层直通传输方式下,该算法主要针对弹性光网络中的能耗问题,借助遗传算法的思想将可行解编码映射到遗传算子上,通过遗传算子进行交叉和变异操作,迭代产生比前代更优的可行解集合,从而为业务请求找到近似最优解。仿真结果表明,在不同网拓扑下,对比其他比较算法如EAMGSP、IF和KSP(k=3),本研究提出的SW-Iteration算法能够有效地利用网络中的频谱资源,在保证阻塞率的情况下降低网络能耗,特别是当网络规模较大时,本研究提出的算法在阻塞率和网络能耗上显示出的性能优势更明显。进一步地,提出了一种基于频谱连通度的自适应路由与频谱分配算法。在光层直通传输方式下,针对弹性光网络中如何高效的进行频谱资源分配问题,该算法首先在可用路由路径上找到所有可以满足业务需求的空闲频谱块,然后分别计算各个频谱块的路径频谱连通度和链路频谱连通度,最后根据需求将频谱连通度最大的频谱块分配给业务请求。仿真结果表明,与基准算法相比,在静态和动态网络场景下,DA-FASA算法在阻塞率和频谱资源利用率方面具有较高的性能。3.提出了一种基于虚拟传输网络的多层网络资源联合调度算法。在IP-光协同传输方式下,该算法针对骨干网络中不同类型业务资源分配不均的问题,主要包括虚拟传输网络(VTN)资源描述、虚拟传输网络业务映射和虚拟传输网络重调整三个子问题。提出的算法有效地将资源池中的路由器资源和光网络资源进行一体化切片,根据业务需求建立虚拟传输网络,并将相应的资源通过VTN分配给虚拟业务请求,从而减少IP网络与光网络交互次数,优化网络资源分配。仿真结果表明,相比于没有使用虚拟传输网络的多层网络资源优化算法,所提出的基于虚拟传输网络的资源池化与优化调度机制能够有效地利用网络资源,在保证虚拟业务请求平均接收比率的条件下提高网络资源利用率。

江涛[4](2019)在《面向5G应用的认知数据中心互连网络架构设计与关键技术研究》文中认为近年来,随着数字信息技术的高速发展,诸如高清视频,虚拟现实等新兴数据业务呈现出爆发式增长,并对移动通信基础网络性能提出更高的要求。在此背景下,第五代移动通信(5G)系统应运而生,旨在解决未来海量的终端接入和复杂的端到端服务质量需求。为达到上述目标,5G提出更宽泛的应用场景和更高的通信标准,并因此对承载网提出更高要求。以数据中心互连为核心的承载网成为提供云计算和边缘计算服务以支撑5G新型数据业务的关键。然而,面向5G应用的数据中心互连网络面临着严峻挑战,需要新的网络架构和技术,包括超大传输容量、集中控制平面和智能的控制算法才能满足未来5G业务的端到端服务需求。针对上述挑战,本论文探索面向5G应用的新型数据中心互连网络架构与关键技术。结合当前光网络技术演进途径,论文提出了认知数据中心互连网络的架构,并开展了以下几方面技术内容的研究:1)采用容量提升空间更大的空分复用光传输构建底层物理网络;2)采用软件定义光网络的架构提供集中的控制平面以支撑高效快速的网络切片;3)引入认知平面和智能算法提升网络自适应处理事件的能力;4)为了验证论文所提出的技术方法,我们设计了基于空分传输的数据中心互连光网络物理拓扑结构,并基于此网络拓扑,进行大量的仿真验证。研究内容和创新点如下:基于空分复用光传输的网络性能分析。由于光纤内在传输机理不同,空分复用光传输有不同的范式。根据光纤传输元素的耦合效应,空分复用光传输分为三种不同的范式,即独立传输,联合传输和部分联合传输。在数据传输过程,每种传输范式有不同的资源分配方式。独立传输中每个传输资源独立成为信道,数据包可以被分配到不同的信道中传输。联合传输中所有传输资源组成一个信道,数据包依次在这个信道中传输。部分联合传输将传输资源划分为若干个相互独立的组,每个组成为一个信道。不同的传输范式带来不同的网络性能,包括吞吐量,丢包率,平均传输时延等。基于空分复用光传输组网的数据中心互连网络需要考虑每种传输范式的性能。基于此,论文对不同的空分复用光传输范式建立数学模型,利用排队理论和马尔科夫链推导出系统稳定状态下的网络性能,并进行了不同方面的数值仿真对比。仿真结果显示在资源相同的情况下,独立传输具有最高的传输效率,联合传输可以提供最高的传输速率但不能保证最低平均传输时延。在不同传输资源情况下,通过合理的传输粒度设计,部分联合传输可以提供最佳的传输性能。平均光数据包的大小对传输性能有重要影响。相比于其它光传输组网,空分复用光传输更有利于构建灵活粒度传输的全光网络。基于深度学习的自适应路由设计。传统光网络控制层面采用基于链路状态的路由协议,将相同业务映射到固定的传输路径。这种路由算法并没考虑传输路径中节点负载的变化,当这条最短路径上的负载严重时,数据包被大量丢弃,导致丢包率性能下降严重,无法保证5G业务的端到端的服务质量。基于此,文章提出基于深度学习自适应的路由算法。认知平面可以获取网络节点的负载数据,深度神经网络对大量节点负载数据对应的丢包率样本进行学习,输出对应不同网络流量分布的最佳传输路径,实现传输路径的动态调整,进而避免网络拥塞。仿真验证了基于深度学习的自适应路由算法的有效性,相比于传统的路由协议,基于深度学习的自适应路由算法显示出了更佳的丢包率性能和拥塞避免能力。基于深度学习的传输时延优化。网络切片是实现不同5G业务端到端传输时延的重要保证。当前5G网络切片采用物理层信道带宽划分的方案,这种方案可以快速的实现业务的隔离承载,然而并不一定能保证端到端传输时延。这是因为物理层的切片方案没有考虑网络层的流量负载变化,当切片网络中的负载严重时,网络很难保证时延敏感型业务的传输时延。因此论文提出了基于网络层的动态切片方案。认知平面获取网络节点负载信息数据,并通过多个深度神经网络组合训练,得到适合当前网络状态的切片方案。仿真结果显示,基于网络层的动态切片算法比传统物理层切片方案具有更好的传输时延性能。

廖明乐[5](2018)在《硅基光交换芯片的控制与传输特性研究》文中进行了进一步梳理光通信网络离不开光交换技术,目前商用的大规模光交换节点通过“光-电-光”方式实现。然而,随着网络传输数据速率的不断增加,这种交换方式面临着“电子瓶颈”和节点功耗大等问题。采用硅光子芯片技术实现全光交换结构被认为是解决上述问题的一种有效途径。硅光子集成芯片能够减小设备体积,降低功耗;与互补金属氧化物半导体(CMOS)工艺兼容,节约成本。本文研究大规模硅基光交换芯片的设计和模块封装,并完成芯片系统性能测试和相关传输实验。主要工作内容包括以下四个方面:1.对基于马赫-曾德干涉仪(MZI)和微环谐振器的集成光开关单元进行了仿真设计和开关性能测试,每个光开关单元都集成了n-i-n热电阻和p-i-n结,其中MZI开关采用载流子注入原理,开关消光比达21 dB;微环开关采用热光效应,开关消光比达18 dB。在此基础上,对几种典型光交换结构性能进行了比较,最终采用Benes结构完成了光交换芯片模块的设计和封装,电学封装通过金线健合连接到芯片引脚上,光学封装通过光栅阵列实现光信号的耦合。为进一步提高光交换芯片工作的稳定性,设计并制作了温度控制模块,芯片的整体温度波动可控制在±0.03℃以内。2.针对大规模高速光交换芯片,为了弥补工艺误差和快速开关切换,开发了热控和电控两种高精度电路板,通过板间互连方式灵活组合,可满足不同光交换芯片的驱动要求,其中电压输出精度10 mV,电控开关时间约40 ns。在此基础上,完成了满足16×16光交换芯片驱动要求的112路控制电路的开发,并开展了相关实验。提出MZI光开关的同步驱动方法,当一臂的p-i-n结中注入载流子改变开关状态时还伴有热量产生,此时同步地增加另一臂的热控电压产生适当的热量,使两臂热量均衡,从而提高光交换集成芯片开关性能。实验测试结果表明,相比于传统驱动方案,本文提出的同步驱动方案可消除开关输出波形的下冲和畸变,单个开关单元的消光比提高了1 dB。3.研制出基于MZI开关芯片的光交换节点设备,主要由光交换芯片模块、驱动电路组、控制模块以及输入输出接口等组成。为了实现网络业务请求,针对重排无阻塞型的Benes交换网络,提出并实现了基于光开关性能的改进环路路由算法,可保证交换节点在光开关单元性能劣化或有少量开关损坏情况下仍能正常工作。搭建了一个由四个边缘节点和一个核心节点组成的光交换实验验证系统,并在华为技术有限公司的智能光网络中进行了系统测试。第三方检验机构的测试结果表明,送检的16×16光交换集成芯片系统的插入损耗范围为21.09 dB32.93 dB,串扰小于-8.96 dB,有效地支撑了相关科研项目的顺利结题。4.为了验证光交换设备的大容量传输能力,提出了用辅助环产生局部色散跳变的方法获得单个孤子状态的光频率梳,这种方法只需要以固定速度单向扫描泵浦频率就能获得单个孤子,确定性高达98.7%,并利用光梳作为光源搭建了WDM传输系统,光信噪比平均劣化了4.4 dB,理论上讲光交换芯片每端口的传输容量可超过1.5 Tb/s。其次,由于硅波导中存在着由自由载流子引起的特殊非线性效应,为了扩大光交换芯片的规模,研究了EDFA的芯片互连方案,并提出了减小系统光信噪比(OSNR)性能劣化的方法,用16×16开关芯片构建了64×64光交换矩阵,所得64×64矩阵的OSNR和接收机灵敏度比16×16开关芯片分别提高了0.6dB和0.2 dB。

翦杰[6](2017)在《片上光互连高阶交换芯片设计研究》文中提出随着高性能计算机(HPC)系统计算性能的不断增长,HPC内部计算节点数量也在不断增加,使得HPC内部互连网络的规模日益扩大。为确保互连网络性能,控制计算节点间数据交换的跳步数和传输延迟,具有更多交换端口的高阶交换芯片设计成为设计下一代高性能计算机互连网络结构的必要组件。基于传统电互连技术实现的电交换结构,由于存在I/O功耗和带宽限制、片内布线拥塞、片上缓冲区资源受限等一系列问题,面临进一步扩展的瓶颈。硅光网络由于具有传输速度快、传输带宽高、低功耗、低信号串扰等特点,成为设计可扩展高阶交换网络结构的热门选择,另一方面,3D芯片集成技术的发展,使得利用TSV实现多芯片层堆叠得以实现。上述两种新技术的发展和成熟,为片上高阶交换芯片的设计提供了新思路,以硅光器件搭建主要的片上交换网络结构,并基于3D集成实现芯片的多层堆叠,设计面向下一代HPC系统的高阶交换芯片,成为本课题的主要出发点。本文基于硅光技术和3D集成技术,从硅光互连高阶交换芯片的结构设计、网络仲裁算法优化、功耗和信噪比分析等方面,寻求解决传统电互连交换芯片在吞吐率、延迟、功耗、可扩展性等方面的问题,本文的主要工作和创新点包括:1、高性能的光高阶交换网络拓扑结构(第三章)基于传统的电互连网络技术设计更高阶数的高阶交换网络时面临带宽和功耗瓶颈,与传统的电互连网络相比,光互连网络在功耗、延迟、带宽等方面具有明显优势;3D集成工艺的出现,为片上互连提供了更高的带宽密度和更少的功耗开销。本文首先将光互连技术与3D芯片集成技术应用于高阶交换芯片的设计之中,利用基于3D芯片集成技术的多光层互连网络(Multilayers Photonic Network on Chip,MPNoC),设计了一种高带宽、低功耗、低延迟、公平的高阶交换网络结构Graphein,Graphein结构利用TSV进行3D层间互连,层内则利用基于多写单读的光Crossbar进行高效数据传输,通过建立加速比分析模型,理论证明了Graphein结构在随机均衡流量下100%的吞吐率,从而满足了高性能计算系统互连网络结构对高阶交换芯片高吞吐性能的需求。2、基于资源预留机制的支持QoS的光互连网络仲裁策略(第四章)基于多优先级请求队列QOS服务的要求,提出了一种分级快速光互连网络通道仲裁机制。通过设置两级仲裁器,实现了网络资源的集中式仲裁;通过具有多优先级数据缓存队列的传输节点设计,实现了数据报文传输的最大延迟和节点的最小带宽保证。利用请求驱动的资源预约式两级仲裁机制,基于仲裁器与请求节点间的数据交换,实现了网络仲裁的完全公平,同时令网络的理论吞吐率达到100%;对设计的快速仲裁通道进行了合理布局,极大的缩短了仲裁延迟。上述创新,使得本文所设计的仲裁策略在为不同类型流量提供QoS保证的同时,在公平性、仲裁延迟、硬件开销等方面均比以往工作取得了明显优势。3、基于高密度存储器的可扩展光高阶交换芯片(第五章)基于交换结构输入输出端口隔离的思想,利用高密度、高读写字宽的新型存储器,构建了一种以高密度存储器为核心的多端口光交换裸片,并基于2.5D芯片集成技术,从交换网络的层次化设计思路出发,改进了上述多端口的单裸片交换结构,通过在裸片上添加片间输入输出代理模块,为裸片设计了片间数据互连交换接口,从而构建了基于多个裸片互连的可扩展高阶光交换芯片,同时还实现了裸片上的存储器控制逻辑和片间输入输出代理的物理结构,展示了数据报文在上述多裸片高阶交换结构中的传输过程,讨论了上述结构在构建未来高阶交换芯片时的可扩展性特点。最后基于光网络模拟器,分析了基于裸片结构的高阶交换芯片在延迟、吞吐率、硬件开销、功耗等方面的优势。4、面向Graphein硅光网络结构的功耗和可靠性分析模型(第六章)根据硅光器件的制造偏差和温度偏离特性,依次建立了从硅光器件到Graphein结构的硅光网络功耗分析模型,提出了一种利用额外通道和冗余微环,分别减少温敏控制功耗与制造调制功耗的方法。比较了两种冗余微环布局方法,分析了上述策略对光高阶交换网络温敏功耗与制造调制功耗的优化效果。为评价上述功耗优化策略对硅光网络信噪比的影响,进一步建立了网络的信噪比分析模型,分析了上述方法对网络信噪比的影响。总结了影响信噪比的网络参数,为进一步扩展光高阶交换结构提供了参考。

保金桢[7](2017)在《软件定义光电混合数据中心网络关键技术研究》文中进行了进一步梳理近年来,作为承载云计算和大数据等多种新型计算和应用的核心基础设施,数据中心得到快速发展。业务和通信模式的转变对连接大规模服务器、存储设备等硬件资源的数据中心网络提出新的挑战。传统电交换网络架构面临网络带宽受限、能量消耗过大等问题,难以满足大规模数据中心网络的需求。光电混合数据中心网络结合光交换和电交换的优势,按照需求动态调整网络拓扑和带宽,能够有效提升网络的性能、降低功耗和布线复杂度等。本文面向软件定义光电混合数据中心网络,针对当前光互连网络架构扩展性和性能的不足、组播资源利用率低、链路调度未考虑短流等问题,分别对新型光互连网络架构、高效组播优化机制、带优先级的光电混合调度机制等挑战性问题展开研究,论文的主要工作包括:(1)针对目前光交换网络架构在可扩展性、灵活性以及性能方面的不足,提出一种高性能的光交换网络架构DETOUR。DETOUR设计为分布式光交换网络架构,将光交换机按照2D-Torus拓扑连接,有效提升光交换网络的规模,支持网络的动态扩展。DETOUR基于“广播-选择”光交换机制,能够灵活的支持单播、组播、广播等多种通信模式。DETOUR设计一种支持在两个维度上进行光信号交换的光交换机,每个光交换机广播的光信号能够到达DETOUR中任意的光交换机,从而支持在任意光交换机之间建立直连的光链路。根据DETOUR网络架构的特性,提出一种基于递归的冲突波长调整算法,并通过理论证明DETOUR光交换网络的无阻塞特性。与其它光交换网络架构相比,DETOUR能够同时满足扩展性、灵活性以及高性能的要求。实验表明,与OvS和Jellyfish相比,DETOUR能有效减少34%和57%的平均流完成时间,降低21%和30%的能量消耗。(2)针对现有数据中心组播优化方案资源利用率低、可扩展性差的问题,提出一种物理层分光组播和报文复制电组播协同优化机制iCAST。iCAST面向应用层感知组播需求,提出一种贪心的组播树构建算法和灵活的组播流切换策略。在构建组播树的过程中,iCAST优先为大流量组播组分配光组播链路,如果不能完全覆盖,则寻找可用的电组播。在构建完组播树配置的过程中,iCAST通过灵活的路由策略将组播流量在电交换网络和光交换网络之间切换,避免为等待光链路资源而阻塞组播流。通过自由空间光和光分束器搭建光电混合组播原型系统,并重新设计高性能计算框架MPICH以支持iCAST。实验表明,与MPICH中现有的环形算法相比,iCAST减少一个数量级的组播流完成时间。(3)针对当前光链路调度算法优化平均流完成时间,尤其是短流完成时间存在的不足,提出一种流调度和链路调度联合优化机制HyFabric。HyFabric采用最短剩余处理时间(Shortest Remaining Processing Time,SRPT)优先策略优化平均流完成时间。为了支持SRPT策略,HyFabric重新设计了柜顶(Top of Rack,ToR)交换机中的队列,能够根据SRPT策略进行报文出队列和丢弃。ToR交换机包含虚拟输出队列将不同目的ToR交换机的报文累积,经过ToR交换机上的调度器选择光交换或者电交换网络。HyFabric提出一种基于优先级的多级稳定婚姻算法为虚拟输出队列中累积的报文分配光链路,优先级根据累积报文中的优先级信息计算。与以往工作相比,HyFabric能够有效结合光交换和电交换网络,共同实现SRPT策略,最小化平均流完成时间。实验表明,与ProjecToR和Solstice相比,HyFabric能减少平均流完成时间93%以上,降低平均能量消耗32%以上。

余晓杉[8](2016)在《数据中心高性能光互连网络架构的研究》文中研究指明随着云计算、大数据等应用的广泛部署,数据中心的体系结构、网络规模、工作模式、运行环境和流量状态发生了极大改变,具体包括:计算、存储、网络融合的趋势不断增强;单一数据中心的规模向数万甚至数十万节点扩展;服务器经常通过并行计算、分布式协作完成复杂任务;服务器虚拟化和存储虚拟化技术广泛部署;数据中心内部流量已经达到zetta(1021)字节。上述改变对数据中心系统架构提出了严峻的挑战。尤其在云环境下,网络作为连接所有计算资源和存储资源的桥梁,承担着分布式任务协同、东西向流量传输和虚拟技术支撑等工作,其性能对数据中心整体系统的应用执行效率和工作完成时间有重要影响。为解决传统网络核心层链路拥塞、扩展性不足、灵活性受限、成本较高的问题,研究人员提出了新的网络互连架构,包括:fat tree,VL2,BCube,DCell,FiConn,CamCube等,尽管这些互连方案能够有效改善网络的时延、吞吐性能,但这些收益均以增加互连线路和交换设备为代价,因此上述方案同时带来拓扑结构复杂、线缆开销巨大、设备数量过多、网络能耗难以优化等问题。与电互连技术相比,光互连技术具有高带宽、低时延、低能耗、透明传输等特点,能够满足应用对传输带宽、设备能耗、通信时延和安全性的要求,因此成为研究人员关注的焦点。但由于现有多数光互连网络设计直接移植电信网的交换技术、节点结构和互连方式,因此存在一些缺陷,具体在节点层面,现有的交换节点或存在开关时延较长、信息操作粒度过粗的问题,或存在端口数目受限、元件开销较大的问题。在网络层面,现有的拓扑结构存在扩展规模较小、网络连通性有限的问题。在控制层面,现有设计存在调度算法复杂、控制时延较高的问题。上述问题影响了光网络的性能,使光互连架构只能作为辅助网络部署在核心层,大部分流量仍通过电交换设备处理,数据中心网络的能耗、设备开销和线缆问题未得到真正解决。对此,本论文展开对数据中心高性能光互连网络的研究,通过设计新的互连拓扑、节点结构、通信方法,解决现有方案中的容量问题、阻塞问题、扩展问题和控制时延问题。论文的主要工作及研究成果如下:⑴对数据中心光互连技术的最新研究进展进行了较全面的综述,从网络结构、交换节点、交换机制、基于SDN的光互连技术、其他研究问题等五个方面对现有设计方案进行了整理和归纳。重点对光互连网络架构进行了分析研究,介绍了现有光电混合网络、集中式全光网络和分布式全光网络,从交换技术、扩展性、技术可行性、设备开销等方面对上述经典方案进行了综合对比。总结现有光互连网络的不足,提出结合硅光技术最新进展设计分布式互连架构的改进思路。⑵针对传统光电路交换网络扩展性不足、阻塞率较高、全局流量状态获取困难的问题,论文提出基于同构拓扑的光电混合互连架构,通过使用基于folded-Clos的多级互连结构,将位于核心层的光网络扩展至汇聚层,更多的流量可以通过高带宽、低能耗的光电路传输。该架构设计了分布式控制协议以完成多跳光连接的建立,同时利用多波长技术增强网络的连通性。为充分利用并行的传输路径和波长信道,架构提出了基于反向建链的光电路交换策略。最后的仿真结果表明:在混合流量模式下,该架构与纯电分组网络相比,其饱和点和最大吞吐至少增加了1.96倍。⑶针对快速光电路交换对网络节点度和处理时延的要求,结合电路交换和分组交换的不同特征,提出了基于异构拓扑的光电混合互连架构THOR。通过为该混合网络的光互连和电互连部分设计不同拓扑结构,充分适配两种交换技术的不同特点。通过使用更具扩展性的超立方拓扑,THOR可以为数万台服务器直接提供端到端的光连接,这有效降低的网络的能耗和缓存开销。THOR设计了分布式控制系统以支持快速光电路交换技术,进一步通过将该系统集成到电互连部分缩减了网络成本和线缆。为支持基于多波长和多跳的光电路交换,THOR结合异质光交换单元设计了新的交换节点结构,该节点能够以集约、经济的方式实现波长域和空域的信息交换。基于网络拓扑和交换节点,THOR设计了路径共享的通信策略降低网络阻塞。最后的仿真结果表明:在实际流量下,THOR能够达到无阻塞交换网络90%的容量,同时能够极大改善鼠流和象流的流完成时间。另外,通过提供服务器级的光连接,THOR与fat tree和传统光电混合网络相比分别降低了53%和23%的能耗。⑷针对光分组交换网络中缓存实现成本高、可靠性难以保证的问题,提出了基于多跳的NACK(Negative Acknowledgement)机制。该机制利用光器件双向传输特性和资源保留策略构建了多跳的反馈信道,进一步通过在冲突节点回传NACK分组实现了在无缓存光网络中可靠的信息传输。基于该策略,设计了面向数据中心的大规模全光互连网络PetaScale。结合网络拓扑,PetaScale提出了多波长通信策略以降低网络阻塞并优化光链路利用效率。为支持所提出的无缓存多波长光分组交换,PetaScale设计了新的交换机结构。最后与fat tree和H-LION网络的仿真对比表明,在均匀流量模式下,PetaScale的时延吞吐性能优于H-LION,达到fat tree网络的82.4%。在局部流量模式下,PetaScale的性能同时由于两种对比网络。

孔谦[9](2016)在《数据中心内部光互联结构及控制理论研究》文中研究指明在过去的几年中,由于流媒体,社交网络,以及云计算等应用的崛起,互联网的流量正在呈幂指数次增长,这就对数据中心提出了更高要求,这些数据中心基于数以万计的服务器通过高性能交换机互联。面向数据中心的应用,如云计算和搜索引擎应用,更是属于数据密集型业务,需要服务器之间高效的互联。这样的互联使网络对于高带宽和低时延的通信提出了更高的要求,更有甚的是,为了降低运营成本,这些数据中心必须满足低能耗的需求。本论文围绕数据中心内部光网络高带宽低能耗的需求,采用光互联的解决方案,重点从网络结构的可扩展性、交换的灵活性、业务粒度的多样性等方面进行数据中心内部光互联结构的设计和研究,主要工作和创新点包括以下几个方面:(1)将波分复用(WDM)技术和数据中心光互联网络相结合,根据数据中心内部光网络的特点以及业务需求,将数据中心目前以太网网络结构和WDM光网络进行了融合,采用簇间(inter-cluster)微机械光开关以及簇内(intra-cluster)微机械光开关级联的方式,来应对数据中心网络规模不断扩大对扩展性的需求。该结构采用集中式统一控制方式,由中央控制器下发配置命令并进行路径的计算和优化。(2)在支持波分复用数据中心内部光网络中,提出了基于复用/解复用器件以及波长选择开关的MUX/DEMUX&WSS结构,该交换模块可以在光层上进行业务的汇聚和疏导,将来自于不同源节点具有同一目的地址的业务同时交换到同一目的节点。实现多点到单点、多点到多点的同时通信。该模块支持集中式控制方式。(3)在支持可变带宽收发机的数据中心内部光网络中,提出了基于复用/解复用器件和频谱选择开关的MUX/DEMUX&SSS结构,该结构充分利用了灵活频谱网络更细的粒度以及较高的频谱利用率,对由一个源节点产生的多个子载波组成的超级信道(Superchannel)业务进行选择输出,使去往不同目的端口的业务能够同时交换到宿节点。实现点到多点、多点到多点的即时通信。(4)提出了数据中心内部网络可变带宽发射机和固定栅格发射机相结合的发射接收模式,使用了单载波频分复用(SCFDM)技术作为可变带宽发射接收机的支撑技术,并且在控制上采用了流量监测的方法,对不同粒度的业务进行区分和鉴别,以分配不同的收发机进行交换配置,以实现资源的最大利用,降低系统的阻塞率和时延。(5 )提出了混合光电路和光突发交换数据中心内部光互联结构,将服务器通过嵌入其内部的交换接口卡同混合光电路和光突发交换开关直接连接,省去了机柜顶端交换机,将数据中心内部突发业务通过突发汇聚交换来完成。通过对业务时延敏感度进行区分,将不同传输需求的业务打包汇聚到一起,分别经过光电路交换开关和光突发交换开关实现交换路由。

赵莉[10](2016)在《数据中心无阻塞环网结构设计与性能研究》文中研究表明数据中心作为基础服务的载体,近些年在大数据的推动下,建设规模日益扩大。但是在为用户提供高带宽需求的同时,巨大的能源消耗、成本问题和日益复杂的连线复杂度成为制约数据中心的重要瓶颈。许多研究机构纷纷采用光电路交换(optical circuit switching,OCS)以弥补传统电分组交换网络的不足。光交换网络以其固有的低能耗、大带宽、易布线等优点,成为数据中心内部光电混合网络的研究热点。不过,由于数据中心的带宽需求日益膨胀,很多研究机构虽然提出了一些节能化的解决方案,但是在无阻塞扩展、低能耗组网、简化布线等方面依旧存在欠缺,因此,数据中心混合交换光网络在结构设计方面仍然还有很大的空间。在众多的数据中心混合交换光网络方案中,ROADM环网因其具有多方面的优势而引起了学术界的广泛关注:1)在逻辑上等效于一个光开关,利用ROADM的上下路功能可灵活实现任意波长在任意节点的无阻塞切换;2)是一个集交换和传输于一体的结构,可简单通过拉伸环网扩大覆盖范围、实现分布式网络;3)易于布线特点,上千台服务器可以通过诸多短线连接到同一根ROADM环上,共享波长资源,在一定程度上减少长线数量、节省光纤成本。本文针对ROADM环网,主要研究基于环网的无阻塞扩展问题,具体完成了以下几个方面的工作:1.基于一级单向ROADM环网的三级Clos网络结构设计和性能研究首先,针对无阻塞扩展问题,我们阐述了经典的Clos结构,即三级MMM(MEMSMEMS-MEMS)网络。所谓经典Clos网络,是一种将小规模开关无阻塞地扩展成大规模开关的常用方法,而业界常用的“胖树”结构只是其模块化的产物。我们对ROADM环网出现在三级Clos网络中的具体情况进行了详细的梳理和研究:指出波长约束出现某一级时,会对网络分别造成规则级、策略级、和器件级影响,开展的主要工作包括:1)针对MMM结构无阻塞、容量大,但长线数量多的问题,重点介绍了单级环网带来的主要约束条件,对ROADM环网出现在三级Clos网络中的输入级、中间级、输出级的具体情况进行了详细的梳理和研究。2)比较上述三种单级环网,将环网放在中间级的方式(即MRM方式)既满足了无阻塞、可扩展特性;其对称性又减少了长线数量;可以使用良好的路由策略而避免复杂选路问题所带来的时间成本,成为最佳的解决方案之一。2.基于双向环的无阻塞网络结构设计(MRM双向环网)针对上述单向ROADM环网络非一致路由的问题,重点介绍了双向MRM环网的主要挑战,讨论了各种业务情况下,波长对环容量、跳数对容量的影响,开展的主要工作包括:1)详细推导了基于一对双向MRM环网络下,波长与双向环容量在point-topoint和all-to-all两种业务模式下的函数关系,由此得出多级双向环MRM网络容量可以增加1.5倍的结论。2)通过设计一种逐点均衡的单向MRM环网络实验,采用Optisystem 12得到了物理跳数与双向环容量的定量关系,由此得出多个站点共享一对EDFA时,最多可以将干路EDFA数量降低50%,且不影响其BER特性。3)提出了一种新型双向环设计方案,通过改善上下路结构,以达到增大双向环容量的目的,并通过仿真对其阻塞率性能进行了验证,获得了良好的阻塞率效果,得出MRM分立环在不增加硬件设备的情况下,分组上下路的界限。3.基于两级或多级ROADM环网的无阻塞网络结构设计和性能研究针对MRM结构物理结构对称,逻辑结构不对称的问题,设计了一种RMR结构,同时满足了网络的无阻塞、可扩展、逻辑对称等特性;另外,针对MRM或RMR结构纵向可扩展,横向不可扩展的问题,研究了三级RRR(Ring-Ring-Ring)网络,研究了无阻塞、横向可扩展的三种解决方案;最后针对RRR网络的满配问题,提出了一种基于无阻塞的二层多级环结构,对多级无阻塞环网进行研究,同时满足了网络的大容量、无阻塞、可扩展、共享池等特性。开展的主要工作包括:1)对ROADM环网同时出现在输入级和输出级的RMR方案进行了研究,指出RMR结构必须采取相应的规则和策略,方可有效保证两级环网的无阻塞特性。RMR方式将环网放在中间级,既满足了无阻塞条件、可扩展特性;其对称性又减少了长线数量;其逻辑对称性弥补了MRM结构的不足,并将其简化为RWR结构,成为数据中心无阻塞网络的最佳解决方案之一。2)详细分析了三级网络全部使用环网(即RRR方式)所面临的挑战,研究了RRR模式下的波长冲突及三种解决方案:考虑采用增加波长信道数、增加中间级环网数量、或引入波长转换器(即Tunable Wavelength Converter,TWC)为RRR模式下实现无阻塞、可扩展、易布线方式提供解决方案。3)针对RRR网络的代价问题,提出了一种基于RWR模式下的二层多级环结构,该结构结合了上述的RWR结构和TWC解决方案的优点,研究了该网络的无阻塞条件和连线复杂度;然后指出该网络在满足大容量、无阻塞、少长线的同时,能够进一步增加网络容量,降低TWC配置数量,提供了另一种切实可行的解决方案。

二、Analysis of a 32-Channal Benes Switching Network Including an SDM/WDM Router(论文开题报告)

(1)论文研究背景及目的

此处内容要求:

首先简单简介论文所研究问题的基本概念和背景,再而简单明了地指出论文所要研究解决的具体问题,并提出你的论文准备的观点或解决方法。

写法范例:

本文主要提出一款精简64位RISC处理器存储管理单元结构并详细分析其设计过程。在该MMU结构中,TLB采用叁个分离的TLB,TLB采用基于内容查找的相联存储器并行查找,支持粗粒度为64KB和细粒度为4KB两种页面大小,采用多级分层页表结构映射地址空间,并详细论述了四级页表转换过程,TLB结构组织等。该MMU结构将作为该处理器存储系统实现的一个重要组成部分。

(2)本文研究方法

调查法:该方法是有目的、有系统的搜集有关研究对象的具体信息。

观察法:用自己的感官和辅助工具直接观察研究对象从而得到有关信息。

实验法:通过主支变革、控制研究对象来发现与确认事物间的因果关系。

文献研究法:通过调查文献来获得资料,从而全面的、正确的了解掌握研究方法。

实证研究法:依据现有的科学理论和实践的需要提出设计。

定性分析法:对研究对象进行“质”的方面的研究,这个方法需要计算的数据较少。

定量分析法:通过具体的数字,使人们对研究对象的认识进一步精确化。

跨学科研究法:运用多学科的理论、方法和成果从整体上对某一课题进行研究。

功能分析法:这是社会科学用来分析社会现象的一种方法,从某一功能出发研究多个方面的影响。

模拟法:通过创设一个与原型相似的模型来间接研究原型某种特性的一种形容方法。

三、Analysis of a 32-Channal Benes Switching Network Including an SDM/WDM Router(论文提纲范文)

(1)针对片上光互连网络通信可靠性的研究与优化(论文提纲范文)

摘要
Abstract
第一章 绪论
    1.1 研究背景
    1.2 国内外研究现状
        1.2.1 片上光网络实现基础
        1.2.2 片上光网络可靠性研究
        1.2.3 光编码技术与光编码器
    1.3 研究意义与主要研究内容
    1.4 论文组织结构
第二章 片上光互连器件与关键基础理论
    2.1 引言
    2.2 片上光互连基本器件
        2.2.1 激光器
        2.2.2 耦合器
        2.2.3 光波导
        2.2.4 微环谐振器
        2.2.5 滤波器与调制器
        2.2.6 光电探测器
        2.2.7 光器件数值仿真方法
        2.2.8 光器件优化理论
    2.3 光波分复用理论基础
    2.4 非线性四波混频效应
    2.5 本章小结
第三章 基于串扰特性的片上光互连网络通信可靠性分析与优化
    3.1 引言
    3.2 光器件级串扰特性的分析与优化
        3.2.1 物理结构模型
        3.2.2 功率分析模型
        3.2.3 传输特性分析
    3.3 光路由器级串扰特性的分析与优化
        3.3.1 串扰特性分析模型
        3.3.2 光路由器结构优化
        3.3.3 光路由器性能分析
    3.4 光网络级串扰特性分析与理论建模
        3.4.1 片上光Mesh与Torus网络
        3.4.2 交换机制与路由协议
        3.4.3 串扰特性分析与建模
    3.5 片上光互连网络性能仿真与分析
    3.6 本章小结
第四章 片上光互连网络可靠性编码的研究与设计
    4.1 引言
    4.2 片上光群计数编码器的设计与实现
        4.2.1 理论基础
        4.2.2 设计实现
        4.2.3 功能验证
    4.3 片上光群计数编码器的性能分析与比较
        4.3.1 检错效率
        4.3.2 能耗分析
        4.3.3 面积开销
    4.4 本章小结
第五章 基于光编码技术的片上光互连网络通信可靠性分析与优化
    5.1 引言
    5.2 片上可靠性光通信系统设计与优化
        5.2.1 片上可靠性光通信系统设计
        5.2.2 检错重传机制
        5.2.3 重传机制优化
    5.3 仿真分析与性能评估
        5.3.1 数值仿真分析
        5.3.2 通信可靠性评估
        5.3.3 检错能力评估
        5.3.4 功耗分析与评估
        5.3.5 时延开销评估
    5.4 本章小结
第六章 总结与展望
    6.1 本文工作总结
    6.2 未来工作展望
参考文献
致谢
攻读博士期间已发表的论文及专利
攻读博士期间参加的科研项目

(2)基于波长控制的谐振型硅基光开关及光滤波器研究(论文提纲范文)

摘要
ABSTRACT
第一章 绪论
    1.1 硅基光子学发展概述
    1.2 硅基微谐振器的基本结构和应用
        1.2.1 硅基微谐振器的基本结构
        1.2.2 硅基微谐振器的应用
    1.3 谐振型硅基光开关及光滤波器的研究现状
        1.3.1 谐振型硅基光开关的研究现状
        1.3.2 谐振型硅基光滤波器的研究现状
    1.4 本文的研究工作和创新点
    1.5 本文的章节安排
    1.6 参考文献
第二章 硅基微环谐振器的基本结构和理论
    2.1 传输矩阵理论
    2.2 硅基微环谐振器的基本结构分析
        2.2.1 全通滤波型微环谐振器
        2.2.2 分插复用型微环谐振器
        2.2.3 级联型微环谐振器
    2.3 硅基微环谐振器的波长控制理论
        2.3.1 硅基微环谐振器的波长漂移现象
        2.3.2 波长控制的基本原理
    2.4 本章小结
    2.5 参考文献
第三章 基于鞍点锁定法的双环结构硅基光开关
    3.1 研究背景
    3.2 基于鞍点锁定法的双环结构4×4硅基热光开关
        3.2.1 器件结构与工作原理
        3.2.2 控制方法与控制子系统设计
        3.2.3 实验验证
    3.3 基于鞍点锁定法的双环结构2×2硅基电光开关
        3.3.1 器件结构
        3.3.2 控制方法与控制子系统设计
        3.3.3 实验验证
    3.4 基于鞍点锁定法的双环结构4×4硅基电光开关
        3.4.1 器件结构
        3.4.2 控制方法与控制子系统设计
        3.4.3 实验验证
    3.5 基于鞍点锁定法的双环结构16×16硅基电光开关
        3.5.1 器件结构和控制子系统设计
        3.5.2 实验验证
    3.6 本章小结
    3.7 参考文献
第四章 基于神经网络控制的硅基微环光开关
    4.1 研究背景
    4.2 基于一维神经网络控制的单环结构1×2硅基电光开关
        4.2.1 器件结构与工作原理
        4.2.2 控制方法与控制子系统设计
        4.2.3 实验验证
    4.3 基于二维神经网络控制的双环结构1×2硅基电光开关
        4.3.1 器件结构
        4.3.2 控制方法与控制子系统设计
        4.3.3 仿真验证
    4.4 本章小结
    4.5 参考文献
第五章 基于自零差锁定法的硅基微环滤波器
    5.1 研究背景
    5.2 器件结构与原理
    5.3 控制方法与控制子系统设计
    5.4 器件和控制子系统制备
    5.5 实验装置与结果
    5.6 本章小结
    5.7 参考文献
第六章 总结和展望
    6.1 工作总结和创新点
    6.2 工作展望
附录 缩略语
攻读博士学位期间已发表或录用的论文
攻读博士学位期间申请的发明专利
攻读博士学位期间参与的科研项目
致谢

(3)“IP+光”协同架构下的资源优化研究(论文提纲范文)

摘要
ABSTRACT
第一章 绪论
    1.1 引言
    1.2 IP网络与光传送网络
        1.2.1 IP网络
        1.2.2 光传送网络
    1.3 “IP+光”协同架构下的资源优化关键问题
        1.3.1 “IP+光”协同架构下IP交换和光交换的适应性问题
        1.3.2 “IP+光”协同架构下弹性光层中的路由与频谱资源优化问题
        1.3.3 基于网络虚拟化的多层网络资源优化问题
    1.4 国内外研究现状
    1.5 论文工作的主要研究内容和创新点
    1.6 论文的结构安排
    参考文献
第二章 “IP+光”协同架构下IP交换和光交换的适应性分析
    2.1 光与IP协同网络架构的演进
        2.1.1 光与IP协同发展的趋势
        2.1.2 “IP+光”协同组网架构
    2.2 IP交换和光交换基于硬件成本的适应性分析
        2.2.1 CLOS交换网络
        2.2.2 适应性问题描述及模型
        2.2.3 基于硬件成本和光端口成本的带宽阈值适应性算法
    2.3 成本阈值问题仿真结果分析
        2.3.1 仿真参数设置
        2.3.2 基于硬件成本的BDT值仿真结果分析
        2.3.3 基于光端口成本的BDT值和转发时延仿真结果分析
    2.4 本章小结
    参考文献
第三章 “IP+光”协同架构下弹性光层中的路由与频谱资源分配研究
    3.1 遗传算法
        3.1.1 遗传算法概念
        3.1.2 遗传算法在弹性光网络的路由与频谱分配问题中的应用
    3.2 弹性光网络中的能耗最小化问题
        3.2.1 能耗模型及问题描述
        3.2.2 能耗最小化的MILP模型
        3.2.3 基于遗传因子的能耗最小化算法
    3.3 能耗问题仿真结果分析
        3.3.1 仿真条件
        3.3.2 算法性能比较
    3.4 弹性光网络中的频谱资源利用率问题
        3.4.1 问题描述及频谱连通度模型
        3.4.2 基于频谱连通度的频谱分配算法
    3.5 频谱资源利用率问题仿真结果分析
        3.5.1 仿真参数设置
        3.5.2 仿真结果分析
    3.6 本章小结
    参考文献
第四章 基于网络虚拟化的多层网络资源联合调度算法
    4.1 “IP+光”网络中基于网络虚拟化的资源分配问题
        4.1.1 虚拟网络中的资源分配问题概述
        4.1.2 IP+光网络中的虚拟网络资源分配问题
    4.2 “IP+光”协同网络中基于网络虚拟化的资源调度算法
        4.2.1 “IP+光”协同网络中的虚拟网络资源分配问题描述
        4.2.2 基于网络虚拟化的多层网络资源调度算法
    4.3 仿真结果及数据分析
        4.3.1 仿真参数设置
        4.3.2 仿真结果及数据分析
    4.4 本章小结
    参考文献
第五章 论文总结与展望
    5.1 本论文研究工作总结
    5.2 未来研究工作展望
缩略词
致谢
攻读博士学位期间论文发表及专利申请目录
    第一作者发表和录用论文
    国家发明专利申请(第一学生完成人)

(4)面向5G应用的认知数据中心互连网络架构设计与关键技术研究(论文提纲范文)

摘要
Abstract
1 绪论
    1.1 引言
    1.2 光网络技术
    1.3 DCIN所面临的挑战
    1.4 DCIN研究现状分析
    1.5 本论文主要研究内容和组织结构
2 认知数据中心互连光网络
    2.1 构建新型DCIN所需技术
    2.2 光网络技术演进分析
    2.3 面向5G应用的DCIN架构设计
    2.4 CDCIN业务处理逻辑过程
    2.5 本章小结
3 基于SDM光传输组网的DCIN建模与网络性能分析
    3.1 SDM光传输背景
    3.2 CDCIN网络模型及问题分析
    3.3 SDM传输数学模型构建
    3.4 关键网络性能推导
    3.5 数值仿真分析
    3.6 本章小结
4 认知平面中基于深度学习的自适应路由
    4.1 路由协议简介
    4.2 ASON路由协议及问题分析
    4.3 CDCIN认知平面中路由算法设计思路
    4.4 基于深度学习的自适应路由算法实现
    4.5 仿真和结果分析
    4.6 本章小结
5 认知平面基于深度学习的传输时延优化
    5.1 网络切片背景简介
    5.2 5G承载网切片技术
    5.3 CDCIN中基于网络层的动态网络切片设计与实现
    5.4 基于多个DNN组合的动态网络切片算法实现
    5.5 仿真与结果分析
    5.6 本章小结
6 总结与展望
    6.1 论文内容及创新点总结
    6.2 工作展望
致谢
参考文献
附录1 攻读博士期间发表论文目录
附录2 缩略词汇表

(5)硅基光交换芯片的控制与传输特性研究(论文提纲范文)

摘要
abstract
第一章 绪论
    1.1 引言
    1.2 硅光子学的市场需求和研究进展
    1.3 硅基光开关的研究进展
    1.4 本论文的研究内容
第二章 光交换芯片与模块设计
    2.1 MZI开关的设计与测试
        2.1.1 MMI的设计
        2.1.2 MZI调制臂的设计
        2.1.3 MZI性能测试
    2.2 微环开关的设计与测试
        2.2.1 微环开关的设计
        2.2.2 微环开关的测试
    2.3 光交换芯片结构与封装
        2.3.1 光交换芯片结构
        2.3.2 芯片的模块化封装
        2.3.3 温度控制模块封装
    2.4 本章小结
第三章 光交换芯片模块的控制电路开发
    3.1 方案设计
        3.1.1 需求分析
        3.1.2 总体方案设计
        3.1.3 控制电路组成
    3.2 电路设计及其测试
    3.3 控制性能测试
    3.4 本章小结
第四章 MZI开关的稳定驱动方案及实验验证
    4.1 硅基MZI光开关的控制特性分析
    4.2 硅基MZI光开关性能
    4.3 同步驱动方案
    4.4 本章小结
第五章 光交换集成芯片验证系统与芯片性能测试
    5.1 光交换设备的配置算法
        5.1.1 环路配置算法
        5.1.2 光交换设备故障特性分析
        5.1.3 基于光开关性能的改进环路配置算法
    5.2 光交换核心节点设备与芯片验证系统
        5.2.1 光交换核心节点设备研制
        5.2.2 光交换芯片验证系统搭建
    5.3 光交换设备性能测试
        5.3.1 4 ×4性能测试
        5.3.2 16 ×16性能测试
        5.3.3 与其他光交换芯片性能的比较
    5.4 本章小结
第六章 光交换芯片的传输特性研究
    6.1 大容量数据传输试验
        6.1.1 光梳光源的产生
        6.1.1.1 双微环结构的可控群速度色散
        6.1.1.2 增强型单孤子的产生
        6.1.2 大容量数据传输实验
    6.2 关于大规模光交换矩阵构建的一些考虑
        6.2.1 波导中的自由载流子非线性
        6.2.1.1 自由载流子非线性理论模型
        6.2.1.2 自由载流子非线性
        6.2.1.3 电极对非线性的影响
        6.2.2 多片级联
        6.2.2.1 理论模型
        6.2.2.2 实验验证
        6.2.2.3 大规模光交换矩阵的构建
    6.3 本章小结
第七章 总结展望
致谢
参考文献
攻读博士学位期间取得的成果

(6)片上光互连高阶交换芯片设计研究(论文提纲范文)

摘要
ABSTRACT
第一章 绪论
    1.1 研究背景
        1.1.1 互连网络在高性能计算机中的重要地位
        1.1.2 高阶交换芯片设计所面临的技术挑战
        1.1.3 应对高阶交换芯片设计的新技术
    1.2 课题研究目标和意义
    1.3 本文研究内容与创新点
        1.3.1 主要研究内容
        1.3.2 本文创新点
    1.4 本文组织结构
第二章 交换芯片与硅光技术相关研究
    2.1 交换芯片设计研究现状
        2.1.1 基于Crossbar的交换芯片设计
        2.1.2 基于瓦片的YARC结构设计
    2.2 硅光网络关键技术研究现状
        2.2.1 硅光器件
        2.2.2 基于硅光技术的高阶交换芯片设计研究现状
    2.3 片上光互连网络模拟
    2.4 主要研究团队汇总
        2.4.1 国外研究团队
        2.4.2 国内研究团队
第三章 基于3D集成的高性能高阶光电互连交换结构
    3.1 引言
    3.2 相关工作
    3.3 高性能3D集成光电高阶交换结构设计
        3.3.1 Graphein结构与光交换层
        3.3.2 数据交换策略设计
        3.3.3 交换网络的物理设计与光导布局
    3.4 Graphein结构的吞吐率分析
        3.4.1 基于加速比的吞吐率分析模型
        3.4.2 Graphein结构吞吐率分析
    3.5 实验结果分析
        3.5.1 实验环境设置
        3.5.2 延迟分析
        3.5.3 吞吐率分析
        3.5.4 公平性分析
        3.5.5 性能隔离分析
        3.5.6 功耗分析
    3.6 总结
第四章 支持QoS的光高阶交换网络中的分级仲裁算法
    4.1 引言
    4.2 相关研究
    4.3 基于QoS支持的分级仲裁结构
        4.3.1 QoS设计规则
        4.3.2 支持QoS的仲裁结构
    4.4 资源预留的仲裁策略
    4.5 硬件设计与布局
        4.5.1 快速光仲裁通道设计
        4.5.2 互连接口与两级仲裁器微结构
    4.6 实验评估与对比
        4.6.1 实验环境
        4.6.2 QoS分析
        4.6.3 性能分析
        4.6.4 真实流量分析
        4.6.5 功耗分析
    4.7 总结
第五章 基于高密度存储器的可扩展高阶光交换芯片设计
    5.1 引言
    5.2 相关研究
    5.3 基于高密度存储器的高阶交换网络设计
        5.3.1 高阶交换网络的层次化设计思路
        5.3.2 以高密度存储器为中心的光交换网络设计
        5.3.3 基于存储器模块化和多裸片互连的交换结构扩展
        5.3.4 面向片间互连的裸片结构设计
    5.4 存储器控制逻辑和片间互连输入输出代理的实现
        5.4.1 存储器控制逻辑实现
        5.4.2 片间互连输入输出代理结构实现
        5.4.3 数据交换过程
    5.5 性能评价
        5.5.1 延迟和吞吐率分析
        5.5.2 硬件开销
        5.5.3 功耗分析
    5.6 总结
第六章 光高阶交换网络的功耗与SNR分析与评价
    6.1 引言
    6.2 相关工作
    6.3 面向Graphein结构的温敏功耗、制造偏离和信噪比分析模型
        6.3.1 硅光网络可靠性与静态功耗的相互影响
        6.3.2 温敏调制功耗分析模型
        6.3.3 制造偏离分析模型
        6.3.4 信噪比分析模型
    6.4 面向低功耗高信噪比的额外通道与冗余微环设计
        6.4.1 额外通道设计
        6.4.2 冗余微环设计
    6.5 实验与评价
        6.5.1 系统设置
        6.5.2 温敏调制功耗
        6.5.3 制造偏离校正功耗
        6.5.4 信噪比分析
    6.6 总结
第七章 总结与展望
    7.1 工作总结
    7.2 课题研究展望
致谢
参考文献
作者在学期间取得的学术成果

(7)软件定义光电混合数据中心网络关键技术研究(论文提纲范文)

摘要
ABSTRACT
符号使用说明
第一章 绪论
    1.1 研究背景和意义
        1.1.1 云计算和数据中心
        1.1.2 数据中心网络
        1.1.3 软件定义光电混合数据中心网络
        1.1.4 研究现状及不足
    1.2 论文的主要工作
    1.3 论文的组织结构
第二章 相关研究工作
    2.1 光电混合数据中心网络架构
        2.1.1 光网络技术介绍
        2.1.2 典型的光电混合网络架构
    2.2 数据中心网络组播优化技术研究
        2.2.1 基于应用层的组播优化
        2.2.2 基于网络层的组播优化
        2.2.3 基于物理层的组播优化
    2.3 本章小结
第三章 DETOUR:一种可扩展高性能的光交换网络架构
    3.1 引言
    3.2 DETOUR整体架构
        3.2.1 整体架构
        3.2.2 光交换原理
        3.2.3 基本分析
    3.3 控制通路
        3.3.1 需求矩阵评估
        3.3.2 拓扑和路由
        3.3.3 波长分配
        3.3.4 无缝重配置
    3.4 实验结果与分析
        3.4.1 仿真平台
        3.4.2 仿真结果
    3.5 本章小结
第四章 iCAST:光组播和电组播协同优化机制
    4.1 引言
    4.2 问题分析
    4.3 混合数据中心网络架构
        4.3.1 混合调度策略
        4.3.2 贪心链路分配
    4.4 实验结果与分析
        4.4.1 系统实验
        4.4.2 网络仿真
    4.5 本章小结
第五章 HyFabric:流调度和链路调度联合优化机制
    5.1 引言
    5.2 问题分析
    5.3 设计与实现
        5.3.1 基于优先级的流调度设计与实现
        5.3.2 基于稳定婚姻算法的链路调度设计与实现
        5.3.3 端节点速率控制
    5.4 实验结果与分析
        5.4.1 仿真平台
        5.4.2 仿真结果
    5.5 本章小结
第六章 结论与展望
    6.1 工作总结
    6.2 研究展望
致谢
参考文献
作者在学期间取得的学术成果

(8)数据中心高性能光互连网络架构的研究(论文提纲范文)

摘要
ABSTRACT
符号对照表
缩略语对照表
第一章 绪论
    1.1 数据中心与云计算
    1.2 数据中心网络的演进
    1.3 数据中心光互连的研究背景及意义
    1.4 论文的主要研究内容
    1.5 论文的安排和结构
第二章 数据中心光互连技术的发展现状
    2.1 引言
    2.2 光互连网络架构
        2.2.1 光电混合互连网络
        2.2.2 集中式全光互连网络
        2.2.3 分布式全光互连网络
        2.2.4 数据中心光互连架构的比较
    2.3 光交换节点设计
    2.4 光交换机制设计
    2.5 基于SDN的光互连技术
    2.6 其他研究问题
    2.7 工业界数据中心光互连架构的研究
    2.8 本章小结
第三章 基于电路交换的数据中心光互连网络
    3.1 引言
    3.2 基于同构拓扑的光电混合网络
        3.2.1 设计动机
        3.2.2 网络拓扑
        3.2.3 基于多跳的光电路交换策略
        3.2.4 网络性能评估
    3.3 基于异构拓扑的光电混合网络
        3.3.1 设计动机
        3.3.2 网络拓扑
        3.3.3 光交换机结构设计
        3.3.4 路由策略
        3.3.5 性能评估
    3.4 小结
第四章 基于分组交换的数据中心光互连网络
    4.1 引言
    4.2 设计动机及相关工作
    4.3 基于多跳NACK的光分组交换策略
    4.4 分布式互连网络结构
        4.4.2 网络拓扑
        4.4.3 编址及连接规则
        4.4.4 拓扑结构对比及扩展性分析
    4.5 路由策略
    4.6 波长分配策略
    4.7 支持多跳NACK的多波长光交换机
    4.8 性能评估
        4.8.1 仿真参数配置
        4.8.2 仿真性能分析
    4.9 小结
第五章 总结与展望
    5.1 研究工作总结
    5.2 研究工作展望
参考文献
致谢
作者简介

(9)数据中心内部光互联结构及控制理论研究(论文提纲范文)

摘要
ABSTRACT
第1章 绪论
    1.1 数据中心内部光互联网络
        1.1.1 数据中心内部网络面临的挑战
        1.1.2 数据中心内部网络的现状
    1.2 未来数据中心网络的需求及光互联的提出
        1.2.1 高带宽需求
        1.2.2 低能耗需求
        1.2.3 光互联网络的兴起
    1.3 国内外研究进展
        1.3.1 国外研究进展
        1.3.2 国内研究进展
    1.4 本论文的组成及主要工作
        1.4.1 论文组成
        1.4.2 主要工作
    参考文献
第2章 数据中心内部光互联网络的关键技术
    2.1 数据中心内部流量分布特点
    2.2 主要的数据中心光互联器件
        2.2.1 微机械光开关
        2.2.2 基于硅基液晶的波长选择开关
        2.2.3 波导阵列光栅路由器
        2.2.4 半导体激光放大器
        2.2.5 可调谐波长变换器
        2.2.6 光纤环行器
        2.2.7 分光合光器件
    2.3 主要的网络互连拓扑结构
        2.3.1 Clos网络结构
        2.3.2 Benes网络结构
        2.3.3 Torus网络结构
        2.3.4 Butterfly网络结构
        2.3.5 Fat tree网络结构
    2.4 本章小结
    参考文献
第3章 基于WDM系统的数据中心内部光网络结构
    3.1 基于WDM系统数据中心光互联体系结构
        3.1.1 光互联功能结构
        3.1.2 基于WDM系统数据中心光互联控制方案与原理
    3.2 基于WDM系统数据中心光互联结构实验研究及分析
        3.2.1 实验平台的搭建
        3.2.2 实验结果分析
    3.3 WDM系统数据中心光互联结构仿真性能
        3.3.1 业务模型优化
        3.3.2 光互联系统仿真平台设计
        3.3.3 阻塞率性能分析
        3.3.4 时延性能分析
    3.4 本章小结
    参考文献
第4章 基于弹性光网络的数据中心内部光互联结构
    4.1 弹性光网络的技术演进
        4.1.1 弹性光网络实现技术及其特点
        4.1.2 单载波频分复用调制原理
    4.2 基于弹性光网络的数据中心光互联体系结构
        4.2.1 基于可变带宽发射机的级联微机械光开关结构
        4.2.2 弹性光网络数据中心光互联控制方案与原理
    4.3 基于弹性光网络的数据中心光互联实验研究及分析
        4.3.1 实验平台的搭建
        4.3.2 实验验证结果分析
    4.4 基于弹性光网络的数据中心光互联结构性能仿真
        4.4.1 光互联系统仿真平台设计
        4.4.2 阻塞率性能分析
        4.4.3 时延性能分析
    4.5 本章小结
    参考文献
第5章 数据中心内部光电路与光突发交换混合组网结构
    5.1 混合光电路与光突发交换数据中心内部光互联结构
    5.2 混合光电路与光突发交换结构控制原理分析
    5.3 混合光电路与光突发交换数据中心光互联结构性能仿真
        5.3.1 光互联系统仿真平台设计
        5.3.2 吞吐量性能分析
        5.3.3 时延以及丢包率性能分析
    5.4 本章小结
    参考文献
第6章 总结与展望
    6.1 论文工作总结
    6.2 未来相关工作展望
缩略语
致谢
攻读博士期间的学术成果

(10)数据中心无阻塞环网结构设计与性能研究(论文提纲范文)

摘要
ABSTRACT
第一章 绪论
    1.1 数据中心网络的研究背景
        1.1.1. 主要发展趋势
        1.1.2. 基本流量特征
    1.2 数据中心网络的基本情况和主要挑战
        1.2.1. 传统三层体系结构
        1.2.2. 业界基本情况
        1.2.3. 学术界主要观点
        1.2.4. 存在的问题
    1.3 光电混合光网络的研究现状和主要挑战
        1.3.1. 光网络研究现状
        1.3.2. 光网络的终端接口
        1.3.3. 光网络采用的核心器件
        1.3.4. 光网络存在的问题
    1.4 本文的研究内容和创新点
    1.5 本文的结构安排
    参考文献
第二章 基于一级单向环网的三级CLOS网络结构设计
    2.1 ROADM环概述
    2.2 经典三级Clos网络(即MMM结构)在数据中心的问题
    2.3 基于一级单向环的三级Clos结构设计
        2.3.1 输入级采用ROADM环网(RMM)
        2.3.2 输出级采用ROADM环网(MMR)
        2.3.3 中间级采用ROADM环网(MRM)
    2.4 MRM(骨干环)网络特性及应用场景分析
        2.4.1 MRM(骨干环)无阻塞条件
        2.4.2 MRM(骨干环)结构路由算法设计
        2.4.3 MRM(骨干环)结构应用场景分析
    2.5 MRM(分立环)网络特性及应用场景分析
        2.5.1 MRM (分立环)等效结构
        2.5.2 MRM (分立环)无阻塞条件
        2.5.3 MRM (分立环)结构路由算法设计
        2.5.4 MRM (分立环)结构应用场景分析
    2.6 结论
    参考文献
第三章 基于双向环的MRM网络结构设计
    3.1 双向环结构基础
    3.2 基于一对双向环MRM容量与波长的关系
        3.2.1 现有站点数与波长数量关系推导法
        3.2.2 容量与波长数量关系推导法之一
        3.2.3 容量与波长数量关系推导法之二
    3.3 基于多对双向环MRM容量与波长的关系
        3.3.1 逻辑等效结构
        3.3.2 无阻塞条件
        3.3.3 路由算法设计
    3.4 环网络实验平台
        3.4.1 小规模环网实验平台
        3.4.2 大规模环网仿真实验平台
        3.4.3 分组放大结构与实验结果分析
    3.5 双向环分组上下路模块
        3.5.1 模块结构设计
        3.5.2 实验结果
    3.6 结论
    参考文献
第四章 基于两级或多级ROADM环网的无阻塞网络结构设计
    4.1 MRM网络存在的问题
    4.2 中间级采用MEMS的环网(RMR)
        4.2.1 RMR无阻塞条件
        4.2.2 RMR结构路由算法设计
        4.2.3 RMR结构应用场景分析
        4.2.4 RMR结构复杂度及成本核算
    4.3 中间级采用WSS的环网(RWR)
        4.3.1 RWR无阻塞条件
        4.3.2 RWR结构路由算法设计
        4.3.3 RWR结构应用场景分析
        4.3.4 RWR结构复杂度及成本核算
    4.4 三级RRR环网结构研究
        4.4.1 波长冲突解决方案一
        4.4.2 波长冲突解决方案二
    4.5 二层多级环网络结构设计及ROADM节点性能分析
        4.5.1 逻辑结构分析
        4.5.2 无阻塞条件
        4.5.3 应用场景分析
        4.5.4 复杂度及成本核算
    4.6 结论
    参考文献
第五章 总结与展望
附录:中英文对照
攻读博士学位期间已发表或录用的论文
    发表论文
    拟投稿的学术论文
专利
攻读博士学位期间参与科研项目
致谢

四、Analysis of a 32-Channal Benes Switching Network Including an SDM/WDM Router(论文参考文献)

  • [1]针对片上光互连网络通信可靠性的研究与优化[D]. 宋婷婷. 西南大学, 2021(01)
  • [2]基于波长控制的谐振型硅基光开关及光滤波器研究[D]. 朱庆明. 上海交通大学, 2020(01)
  • [3]“IP+光”协同架构下的资源优化研究[D]. 谭艳霞. 北京邮电大学, 2019(08)
  • [4]面向5G应用的认知数据中心互连网络架构设计与关键技术研究[D]. 江涛. 华中科技大学, 2019(03)
  • [5]硅基光交换芯片的控制与传输特性研究[D]. 廖明乐. 电子科技大学, 2018(10)
  • [6]片上光互连高阶交换芯片设计研究[D]. 翦杰. 国防科技大学, 2017(02)
  • [7]软件定义光电混合数据中心网络关键技术研究[D]. 保金桢. 国防科技大学, 2017(02)
  • [8]数据中心高性能光互连网络架构的研究[D]. 余晓杉. 西安电子科技大学, 2016(01)
  • [9]数据中心内部光互联结构及控制理论研究[D]. 孔谦. 北京邮电大学, 2016(02)
  • [10]数据中心无阻塞环网结构设计与性能研究[D]. 赵莉. 上海交通大学, 2016(03)

标签:;  ;  ;  ;  ;  

包括 SDM/WDM 路由器的 32 通道 Benes 交换网络分析
下载Doc文档

猜你喜欢